初めてのModelSim

コンパイル、シミュレーションを行うコマンドのメモ

vlib work
vlog test.sv
vsim -c test -do "add wave *; run -all;quit;"

vsim.wlfという波形ファイルが生成されるので、

vsim vsim.wlf

で波形が見れる。 波形viewerにgtkwaveを使いたいなら、テストベンチにvcdをダンプする記述を追加すればちゃんと出力してくれる。