systemverilog

初めてのModelSim

コンパイル、シミュレーションを行うコマンドのメモ vlib work vlog test.sv vsim -c test -do "add wave *; run -all;quit;" vsim.wlfという波形ファイルが生成されるので、 vsim vsim.wlf で波形が見れる。 波形viewerにgtkwaveを使いたいなら、テストベン…

ModelSimのインストール

ModelSimをインストールした時のメモ Ubuntu14.04 インストールメモ(Virtual Box上) - ThuruThuruToru’s blog でインストールしたUbuntuにModelSim-Altera Edition 14.1をインストールする。 手順 Altera のHPのダウンロードページから"ModelSim-Altera Edit…